Friday, May 17, 2013

VHDL CODE FOR SQUARE OF 3 BIT NUMBER

HEY GUYS I HAD DEVELOPED VHDL CODE TO FIND SQUARE OF 3 BIT NUMBER .GO THROUGH IT !!!



library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity squ is

port(
a : in std_logic_vector( 2 downto 0);
b : out std_logic_vector(5 downto 0)
);

end squ;
architecture squ of squ is
begin
process(a)
begin
b(0)<= a(0);
b(1)<= '0';
b(2)<= a(1) and (not(a(0)));
b(3)<= (a(2) xor a(1)) and a(0);
b(4)<= a(2) and ((not(a(1))) or a(0));
b(5)<= a(2) and a(1);
end process;
end architecture;


2 comments:

  1. Can you give a testbench example for this? Thanks

    ReplyDelete
  2. The 7 Casinos Near Richmond, Virginia - Mapyro
    Find the 7 강릉 출장안마 casinos closest to Richmond on Mapyro. 제주도 출장안마 Casino Near 포천 출장샵 Me. 진주 출장안마 Casino at Mohegan Sun. 서울특별 출장안마 Address. 1 Mohegan Sun Boulevard. Uncasville, CT 06382

    ReplyDelete